Uploaded image for project: 'Flink'
  1. Flink
  2. FLINK-7282 Credit-based Network Flow Control
  3. FLINK-7468

Implement sender backlog logic for credit-based

    XMLWordPrintableJSON

Details

    • Sub-task
    • Status: Closed
    • Major
    • Resolution: Fixed
    • None
    • 1.5.0
    • Runtime / Network
    • None

    Description

      This is a part of work for credit-based network flow control.

      Receivers should know how many buffers are available on the sender side (the backlog). The receivers use this information to decide how to distribute floating buffers.

      The ResultSubpartition maintains the backlog which only indicates the number of buffers in this subpartition, not including the number of events. The backlog is increased for adding buffer to this subpartition, and decreased for polling buffer from it.

      The backlog is attached in BufferResponse by sender as an absolute value after the buffer being transferred.

      Attachments

        Issue Links

          Activity

            People

              zjwang Zhijiang
              zjwang Zhijiang
              Votes:
              0 Vote for this issue
              Watchers:
              3 Start watching this issue

              Dates

                Created:
                Updated:
                Resolved: